Home  /  Electronics  /  Vol: 8 Núm: 1 Par: January (2019)  /  Article
ARTICLE
TITLE

A Variation-Aware Design Methodology for Distributed Arithmetic

SUMMARY

Distributed arithmetic (DA) brings area and power benefits to digital designs relevant to the Internet-of-Things. Therefore, new error resilient techniques for DA computation are urgently required to improve robustness against the process, voltage, and temperature (PVT) variations. This paper proposes a new in-situ timing error prevention technique to mitigate the impact of variations in DA circuits by providing a guardband for significant (most significant bit) computations. This guardband is initially achieved by modifying the sign extension block and carefully gate-sizing. Therefore, least significant bit (LSB) computation can correspond to the critical path, and timing error can be tolerated at the cost of acceptable accuracy loss. Our approach is demonstrated on a 16-tap finite impulse respons (FIR) filter using the 65 nm CMOS process and the simulation results show that this design can still maintain high-accuracy performance without worst case timing margin, and achieve up to 32 % power savings by voltage scaling when the worst case margin is considered with only 9 % area overhead.

 Articles related

Muhamad Bashori Alwi,Tutun Juhana    

Semua kekuatan militer di seluruh dunia saat ini memiliki ketergantungan yang sangat penting pada data Positioning,  Navigation & Timing (PNT) yang diperoleh dengan menggunakan Global Positioning System (GPS). Ketersediaan data PNT yang diperoleh da... see more


Ilana Ackerman,Richard Osborne    

The integration of routine clinical administrative activities into ongoing rigorous clinical research poses challenges for both clinicians and researchers. This case study describes the development of a responsive database system used to facilitate compr... see more